欢迎您光临五金加工厂,如有问题请及时联系我们。

4060

需要用CD4060做的晶振分频电路?4060BP加32.768晶振搭分频电路,帮看下这个图哪错了。用示波器看老是不对,测出的波形频率都上兆了?

需要用CD4060做的晶振分频电路?CD4060是数字集成电路,具有十四分频的功能,比如用晶振产生的32.768KHz的频率,经过它一四次分频之后可得到2Hz的频率,就是32768/(2^13)=2你用晶振做,电阻用10M的,电容30pF就可以,电容电阻的值对频率的影响不大,这是值是标准的.4060BP加32.768晶振搭分频电路,帮看下这个图哪错了......