欢迎您光临五金加工厂,如有问题请及时联系我们。

五人表决器的设计方法?

作者:五金加工
文章来源:本站

  五人表决器电路设计方案一:基于74LS151设计的五人表决器电路

  系统原理

  五人表决器,只要赞成人数大于或等于三,则表决通过。因此,只需将每位表决人的结果相加,判断结果值。设五个开关A、B、C、D、E作为表决器的五个输入变量,输入变量为逻辑“1”时,表示表决者“赞成”,红灯亮;输入变量为“0”时,表示表决者“不赞成”,红灯不亮;输出逻辑“1”时,表示表决“通过”,绿灯亮;输出逻辑“0”时,表示表决“不通过”,绿灯不亮;当表决器的五个输入变量中有3个以上(含3个)为“1”时,则表决器输出为“1”,此时绿灯就亮了。

  

五人表决器的设计方法?

  

五人表决器的设计方法?

  

五人表决器的设计方法?

来源:文章来源于网络,如有侵权请联系我们删除。本文由五金加工编辑,欢迎分享本文,转载请保留出处和链接!