欢迎您光临五金加工厂,如有问题请及时联系我们。

组合逻辑电路有哪些时序?组合逻辑电路和时序电路的特点

作者:五金加工
文章来源:本站

  根据逻辑电路的不同特点,数字电路可以分为:组合逻辑和时序逻辑。

  1 组合逻辑:

  组合逻辑的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原本的状态无关,逻辑中不牵涉跳变沿信号的处理,组合逻辑的verilog描述方式有两种:

  (1):always @(电平敏感信号列表)

  always模块的敏感列表为所有判断条件信号和输入信号,但一定要注意敏感列表的完整性。在always 模块中可以使用if、case 和for 等各种RTL 关键字结构。由于赋值语句有阻塞赋值和非阻塞赋值两类,建议读者使用阻塞赋值语句“=”。always 模块中的信号必须定义为reg 型,不过最终的实现结果中并没有寄存器。这是由于在组合逻辑电路描述中,将信号定义为reg型,只是为了满足语法要求。

  (2):assign描述的赋值语句。

  信号只能被定义为wire型。

  2 时序逻辑:

  时序逻辑是Verilog HDL 设计中另一类重要应用,其特点为任意时刻的输出不仅取决于该时刻的输入,而且还和电路原来的状态有关。电路里面有存储元件(各类触发器,在FPGA 芯片结构中只有D 触发器)用于记忆信息,从电路行为上讲,不管输入如何变化,仅当时钟的沿(上升沿或下降沿)到达时,才有可能使输出发生变化。

  与组合逻辑不同的是:

  (1)在描述时序电路的always块中的reg型信号都会被综合成寄存器,这是和组合逻辑电路所不同的。

  (2)时序逻辑中推荐使用非阻塞赋值“<=”。

  (3)时序逻辑的敏感信号列表只需要加入所用的时钟触发沿即可,其余所有的输入和条件判断信号都不用加入,这是因为时序逻辑是通过时钟信号的跳变沿来控制的。

  

组合逻辑电路有哪些时序?组合逻辑电路和时序电路的特点

  

组合逻辑电路有哪些时序?组合逻辑电路和时序电路的特点

  

组合逻辑电路有哪些时序?组合逻辑电路和时序电路的特点

  

组合逻辑电路有哪些时序?组合逻辑电路和时序电路的特点

来源:文章来源于网络,如有侵权请联系我们删除。本文由五金加工编辑,欢迎分享本文,转载请保留出处和链接!